集成电路设计,单片机方案
Your current location : Home>News>Industry information

Contact Us

Wuxi Xijie Microelectronics Co., Ltd

Mobile:151 6157 6380

E-mail:cathy@xjmcu.com

Website: www.xjmcu.com

Address:Floor 9, building A1, No. 777, Jianzhu West Road, Binhu District, Wuxi City, Jiangsu Province


Brief introduction of integrated circuit design

2022-01-19

Integrated circuit design (IC Design), also known as VLSI design, refers to the design process aiming at integrated circuits and VLSI. Integrated circuit design involves the establishment of interconnection models of electronic devices (such as transistors, resistors, capacitors, etc.) and devices. All devices and interconnects need to be placed on a semiconductor substrate material. These components are placed on a single silicon substrate through semiconductor device manufacturing process (such as lithography, etc.) to form a circuit.

Integrated circuit design

The most commonly used substrate material for integrated circuit design is silicon. Designers will use technical means to electrically isolate the devices on the silicon substrate to control the conductivity of the devices on the whole chip. PN junction and metal oxide semiconductor FET constitute the basic structure of integrated circuit devices, while the complementary metal oxide semiconductor composed of the latter has become the basic structure of logic gates in digital integrated circuits because of its advantages of low static power consumption and high integration. Designers need to consider the energy dissipation of transistors and interconnects, which is different from the previous construction of circuits from discrete electronic devices, because all devices of integrated circuits are integrated on one silicon chip. Electromigration and electrostatic discharge of metal interconnects are usually harmful to devices on microchips, so they are also topics that need to be paid attention to in integrated circuit design.

With the increasing scale of integrated circuits, their integration has reached the deep submicron level (feature size below 130 nm), and nearly one billion transistors have been integrated on a single chip. Because of its extremely complex, integrated circuit design is relatively simple. Circuit design often needs computer-aided design methodology and technical means. The research scope of integrated circuit design covers the optimization of digital logic in digital integrated circuits, the implementation of netlist, the writing of register transmission level hardware description language code, the verification, simulation and timing analysis of logic functions, the distribution of circuit wiring in hardware, the operational amplifier in analog integrated circuits The placement of electronic filters and other devices in the chip and the processing of mixed signals. Related research also includes electronic design automation (EDA) and computer aided design (CAD) methodology of hardware design, which is a subset of electrical engineering and computer engineering.

For digital integrated circuits, designers mostly stand at the high-level abstraction level, that is, register transfer level or even higher system level (some people call it behavior level), and use hardware description language or high-level modeling language to describe the logic and timing functions of the circuit, Logic synthesis can automatically convert register transfer level hardware description language into logic gate level netlist. For simple circuits, designers can also use hardware description language to directly describe the connection between logic gates and flip flops. After further functional verification, layout and wiring, the net list can generate a GDSII file for industrial manufacturing. According to this file, the factory can manufacture circuits on wafers. Analog integrated circuit design involves a more complex signal environment, has higher requirements for engineers' experience, and its design automation is far less than that of digital integrated circuit.

After gradually completing the functional design, the design rules will indicate which designs match the manufacturing requirements and which designs do not match, and this rule itself is very complex. The IC design process needs to match hundreds of such rules. Under certain design constraints, the layout and routing of IC physical layout is very important to obtain ideal speed, signal integrity and reduce chip area. The unpredictability of semiconductor device manufacturing further increases the difficulty of integrated circuit design. In the field of integrated circuit design, due to the pressure of market competition, electronic design automation and other related computer-aided design tools have been widely used. Engineers can carry out register transfer level design, function verification, static timing analysis, physical design and other processes with the help of computer software.


Copyright © Wuxi Xijie Microelectronics Co., LTD. All rights reserved苏ICP备18012310号-1 Mainly engaged inMicroelectronics,Integrated circuit design,Single chip solutions, Welcome to inquire! Service support:无锡网站建设